The US chip war on China will have many unintended, negative effects. Image: Twitter

The chief executive officer of ASML, the overwhelmingly dominant supplier of lithography equipment to the semiconductor industry, says that China will eventually learn how to make the semiconductor production equipment it cannot import due to sanctions imposed by the US.

In an interview with Bloomberg News published on January 25, Peter Wennink said, “If they cannot get those machines, they will develop them themselves. That will take time, but ultimately they will get there.”

He also said, “The more you put them under pressure, the more likely it is that they will double up their efforts.” 

It is only natural that the Chinese would redouble their efforts in the face of American attempts to stifle their high-tech industry. They probably already have.

But the CEO of ASML implies something more: that the sanctions may lead to the creation of what the US is trying to prevent – an independent Chinese semiconductor industry.

The most important type of semiconductor production equipment subject to US export restrictions is EUV (Extreme Ultra-Violet) lithography, which is monopolized by ASML. In the company’s own words, EUV is “used in high-volume manufacturing to create the highly complex foundation layers of the most advanced microchips (7 nm, 5 nm and 3 nm nodes).”

It’s true that previous-generation DUV (Deep Ultra-Violet) lithography has been used by Chinese foundry SMIC to make 7-nm chips while Japanese equipment maker Nikon claims that its most advanced DUV lithography system, the NSR-S635E immersion scanner, can “ensure world-class device patterning and optimum fab productivity to fully satisfy 5 nm node requirements and beyond.”

However, the older technology is not efficient and is not market competitive.

In practical terms, 7-nm is the limit of DUV lithography. The 5-nm and 3-nm processes implemented by TSMC and Samsung – and the 2-nm processes they have under development – depend on EUV. 

ASML is a Dutch company headquartered in Veldhoven, Netherlands, but the US can block the export of its EUV lithography systems to China because the light sources used in those systems come from Cymer, an American company that ASML acquired in 2013.

How it works: ASML notes that “a lithography system is essentially a projection system. Light is projected through a blueprint of the pattern that will be printed (known as a ‘mask’ or ‘reticle’). The blueprint is four times larger than the intended pattern on the chip. With the pattern encoded in the light, the system’s optics shrink and focus the pattern onto a photosensitive silicon wafer. After the pattern is printed, the system moves the wafer slightly and makes another copy on the wafer.” Photo: ASML

The Dutch government has supported this decision and ASML has complied.

ASML has not sold any EUV lithography systems to China. It seems unlikely but is perhaps impossible to confirm whether or not China has acquired one – or more than one – indirectly.

The US is now consulting with (pressuring, if you prefer) the Netherlands and Japan to join and help expand its sanctions to include DUV lithography and equipment used to support it. It is reported that an agreement could be announced within days, although the US may not get everything it is asking for. This is not clear.

It has been widely reported that if exports of DUV lithography systems to China were banned, China would not be able to produce most of the semiconductors in use today. But this is not the case.

Rather, China would not be able to add much new semiconductor production capacity without developing its own equipment. It would also have trouble maintaining the equipment it now has without assistance from the companies that made it.

The Netherlands and Japan have been resisting the US government’s attempts to drag them into its sanctions regime because they, like American companies, have a lot to lose. Tokyo Electron, Japan’s largest maker of semiconductor equipment, has been getting about 25% of its revenue from China recently.

ASML is less exposed. In 2022, China accounted for 14% of its total revenue. But EUV lithography accounted for 46%, so China accounted for 26% of the remainder. EUV systems are much more expensive than DUV and the older i-line systems. Only 40 of the 345 lithography units sold by ASML in 2022 were EUV.

ASML’s investment plans target annual EUV system production capacity of 90 units by 2025 or 2026, meaning that it could probably increase its revenues and profits even if sales to China went to zero. But zero is a worst-case scenario.

Also on January 25, ASML CEO Wennink said, in an interview posted on the company’s website:

We’re businesspeople. We’re not politicians. I think there were some good comments made by our Dutch prime minister last week when he visited Washington and spoke to the US president. Actually, the summary of his comments [was that] this is a multinational question that needs to be answered. It’s an issue between several countries. Not only the Dutch and the Americans but several countries. And also multiple companies are involved with a complex supply chain. Upstream and downstream. It’s a complex industry. In fact [as] he said: “It’s a complex issue, it’s a sensitive issue. There’s a lot at stake, there are high economic stakes. So we have to find a balanced solution.”

ASML CEO Peter Winnink. Photo: ASML

Wennick in his memo added: “Any further speculation on what the outcome might be doesn’t help. We just have to wait for the governments and the politicians to keep talking and come to a reasonable solution.”

While waiting, we might consider how long it would take for China to develop its own independent semiconductor manufacturing capability. Five years? Ten years? Longer? It is hard to say, but by the end of the decade, China will almost certainly have made a lot of progress.

The basis for a Chinese equipment industry already exists, but replacing imported equipment and gearing up for mass production with acceptable yields will not be easy.

Industry association SEMI has identified about 80 Chinese equipment companies working within the full range of semiconductor production technologies, including lithography.

Statistics from the China Electronic Production Equipment Industry Association (CEPEA) and other sources indicate that Chinese semiconductor production equipment makers have about 5% of the global market and 15% of the Chinese market. But none of them are first-rank worldwide vendors.

In fact, China is attempting to manufacture the entire semiconductor manufacturing supply chain and to make all types of semiconductors. In this regard, it is following in the footsteps of Japan, which tried and failed, then specialized in what it does best.

In an open free-trade economy, going it alone is so expensive that it does not make sense. But when confronted with ever-widening sanctions, it becomes a necessity. And for start-ups – and that’s what Chinese semiconductor equipment makers are – it may be the only chance they have to gain experience and achieve the quality and scale required to compete in the global market.

Sanctions are likely to accelerate the development of Chinese competitors who, if China’s 5G telecom, high-speed railway and space program are anything to go by, could eventually prove to be formidable competitors to ASML and other established semiconductor production equipment makers.

Follow this writer on Twitter: @ScottFo83517667